"इलेक्ट्रॉन किरण अश्मलेखन": अवतरणों में अंतर

नया पृष्ठ: {{substub}} {{अनुवाद}} '''Electron beam lithography''' (often abbreviated as '''e-beam lithography''') is the practice of scanning a beam of electrons in a...
(कोई अंतर नहीं)

08:53, 12 अप्रैल 2008 का अवतरण

Electron beam lithography (often abbreviated as e-beam lithography) is the practice of scanning a beam of electrons in a patterned fashion across a surface covered with a film (called the resist).[1]

The primary advantage of electron beam lithography is that it is one of the ways to beat the diffraction limit of light and make features in the nanometer regime. This form of lithography has found wide usage in mask-making used in photolithography, low-volume production of semiconductor components, and research & development.

Electron Beam Lithography Systems

Electron beam lithography systems used in commercial applications are dedicated e-beam writing systems that are very expensive (>$4M USD). For research applications, it is very common to convert an electron microscope into an electron beam lithography system using a relatively low cost accessory (<USD 100k). Such converted systems have produced linewidths of ~20 nm since at least 1990, while current dedicated systems have produced linewidths on the order of 10 nm or smaller.

Electron beam lithography systems can be classified according to both beam shape and beam deflection strategy. Older systems used Gaussian-shaped beams and scanned these beams in a raster fashion. Newer systems use shaped beams, which may be deflected to various positions in the writing field (this is also known as vector scan).

Electron sources

Lower resolution systems can use thermionic sources, which are usually formed from LaB6. However, systems with higher resolution requirements need to use field emission sources, such as heated W/ZrO2 for lower energy spread and enhanced brightness.

Lenses

Both electrostatic and magnetic lenses may be used. However, electrostatic lenses have more aberrations and so are not used for fine focusing.

Stage, stitching & alignment

Typically, for very small beam deflections electrostatic deflection 'lenses' are used, larger beam deflections require electromagnetic scanning. Because of the inaccuracy and because of the finite number of steps in the exposure grid the writing field is of the order of 100 micron - 1 mm. Larger patterns require stage moves. An accurate stage is critical for stitching (tiling writing fields exactly against each other) and pattern overlay (aligning a pattern to a previously made one).

Electron beam write time

The minimum time to expose a given area for a given dose is given by the following formula:

Dose * exposed area = beam current * exposure time = total number of incident electrons

For example, assuming an exposure area of 1 cm2, a dose of 10-5 Coulombs/cm2, and a beam current of 10-6 Amperes, the resulting minimum write time would be 10 seconds. This minimum write time does not include time for the stage to move back and forth, as well as time for the beam to be blanked (blocked from the wafer during deflection), as well as time for other possible beam corrections and adjustments in the middle of writing. To cover the 700 cm2 surface area of a 300 mm silicon wafer, the minimum write time would extend to 7000 seconds, almost 2 hours. It is clear that throughput is a serious limitation for electron beam lithography, especially when writing dense patterns over a large area.

E-beam lithography is not suitable for high-volume manufacturing because of its limited throughput. The serial nature of electron beam writing makes for very slow pattern generation compared with a parallel technique like photolithography (the current standard) in which the entire surface is patterned at once (1X optical steppers only, 4 or 5X steppers take proportionally longer). As an example, to pattern a single wafer it would typically take an electron beam system (w/a fixed gaussian beam) approximately ten hours (shaped beam vector scan e-beam systems (Aeble 150) can pattern 4" wafers in less than 1 hour); compared to the few minutes it would take with a (1X)photolithography system.

Defects in electron-beam lithography

Despite the high resolution of electron-beam lithography, the generation of defects during electron-beam lithography is often not considered by users. Defects may be classified into two categories: data-related defects, and physical defects.

Data-related defects may be classified further into two sub-categories. Blanking or deflection errors occur when the electron beam is not deflected properly when it is supposed to, while shaping errors occur in variable-shaped beam systems when the wrong shape is projected onto the sample. These errors can originate either from the electron optical control hardware or the input data that was taped out. As might be expected, larger data files are more susceptible to data-related defects.

Physical defects are more varied, and can include sample charging (either negative or positive), backscattering calculation errors, dose errors, fogging (long-range reflection of backscattered electrons), outgassing, contamination, beam drift and particles. Since the write time for electron beam lithography can easily exceed a day, "randomly occurring" defects are more likely to occur. Here again, larger data files can present more opportunities for defects.

Electron energy deposition in matter

The primary electrons in the incident beam lose energy upon entering a material through inelastic scattering or collisions with other electrons. In such a collision the momentum transfer from the incident electron to an atomic electron can be expressed as [2]  , where   is the distance of closest approach between the electrons, and   is the incident electron velocity. The energy transferred by the collision is given by  , where   is the electron mass and   is the incident electron energy, given by  . By integrating over all values of   between the lowest binding energy,   and the incident energy, one obtains the result that the total cross section for collision is inversely proportional to the incident energy  , and proportional to  . Generally,  , so the result is essentially inversely proportional to the binding energy.

By using the same integration approach, but over the range   to  , one obtains by comparing cross-sections that half of the inelastic collisions of the incident electrons produce electrons with kinetic energy greater than  . These secondary electrons are capable of breaking bonds (with binding energy  ) at some distance away from the original collision. Additionally, they can generate additional, lower energy electrons, resulting in an electron cascade. Hence, it is important to recognize the significant contribution of secondary electrons to the spread of the energy deposition.

Resolution capability

With today's electron optics, electron beam widths can routinely go down to a few nm. This is limited mainly by aberrations and space charge. However, the practical resolution limit is determined not by the beam size but by forward scattering in the photoresist and secondary electron travel in the photoresist[3]. The forward scattering can be decreased by using higher energy electrons or thinner photoresist, but the generation of secondary electrons is inevitable. The travel distance of secondary electrons is not a fundamentally derived physical value, but a statistical parameter often determined from many experiments or Monte Carlo simulations down to < 1 eV. This is necessary since the energy distribution of secondary electrons peaks well below 10 eV[4]. Hence, the resolution limit is not usually cited as a well-fixed number as with an optical diffraction-limited system[3]. Repeatability and control at the practical resolution limit often require considerations not related to image formation, e.g., photoresist development and intermolecular forces.

In addition to secondary electrons, primary electrons from the incident beam with sufficient energy to penetrate the photoresist can be multiply scattered over large distances from underlying films and/or the substrate. This leads to exposure of areas at a significant distance from the desired exposure location. These electrons are called backscattered electrons and have the same effect as long-range flare in optical projection systems. A large enough dose of backscattered electrons can lead to complete removal of photoresist in the desired pattern area.

Proximity effect

The smallest features produced by electron beam lithography have generally been isolated features, as nested features exacerbate the proximity effect, whereby electrons from exposure of an adjacent region spill over into the exposure of the currently written feature, effectively enlarging its image, and reducing its contrast, i.e., difference between maximum and minimum intensity. Hence, nested feature resolution is harder to control. For most resists, it is difficult to go below 25 nm lines and spaces, and a limit of 20 nm lines and spaces has been found[5].

Proximity effects (due to electron scattering) can be reduced by solving the inverse problem and calculating the exposure function   that leads to a dose distribution as close as possible to the desired dose   when convolved by the scattering distribution point spread function  

Charging

Since electrons are charged particles, they tend to charge the substrate negatively unless they can quickly gain access to a path to ground. For a high-energy beam incident on a silicon wafer, virtually all the electrons stop in the wafer where they can follow a path to ground. However, for a quartz substrate such as a photomask, the embedded electrons will take a much longer time to move to ground. Often the negative charge acquired by a substrate can be compensated or even exceeded by a positive charge on the surface due to secondary electron emission into the vacuum. The presence of a thin conducting layer above or below the resist is generally of limited use for high energy (50 keV or more) electron beams, since most electrons pass through the layer into the substrate. The charge dissipation layer is generally useful only around or below 10 keV, since the resist is thinner and most of the electrons either stop in the resist or close to the conducting layer.

The range of low-energy secondary electrons (the largest component of the free electron population in the resist-substrate system) which can contribute to charging is not a fixed number but can vary from 0 to as high as 50 nm.[6] Hence, resist-substrate charging is not repeatable and is difficult to compensate consistently. Positive charging is more tolerable than negative charging, because the latter can deflect the electron beam away from the desired exposure location.

Electron Beam Resist Performance

  • For the popular electron-beam resist ZEP-520, a pitch resolution limit of 60 nm (30 nm lines and spaces), independent of thickness and beam energy, was found.[7]
  • A 20 nm resolution had also been demonstrated using a 3 nm 100 keV electron beam and PMMA resist.[8] 20 nm unexposed gaps between exposed lines showed inadvertent exposure by secondary electrons.

New frontiers in electron-beam lithography

To get around the secondary electron generation, it will be imperative to use low-energy electrons as the primary radiation to expose photoresist. Ideally, these electrons should have energies on the order of not much more than several eV in order to expose the photoresist without generating any secondary electrons, since they will not have sufficient excess energy. Such exposure has been demonstrated using a scanning tunneling microscope as the electron beam source[9]. The data suggest that electrons with energies as low as 12 eV can penetrate 50 nm thick polymer photoresist. The drawback to using low energy electrons is that it is hard to prevent spreading of the electron beam in the photoresist[10]. Low energy electron optical systems are also hard to design for high resolution[11]. Coulomb inter-electron repulsion always becomes more severe for lower electron energy.

Another alternative in electron-beam lithography is to use extremely high electron energies (at least 100 keV) to essentially "drill" or sputter the material. This phenomenon has been observed frequently in transmission electron microscopy[12]. However, this is a very inefficient process, due to the inefficient transfer of momentum from the electron beam to the material. As a result it is a slow process, requiring much longer exposure times than conventional electron beam lithography. Also high energy beams always bring up the concern of substrate damage.

Interference lithography using electron beams is another possible path for patterning arrays with nanometer-scale periods. A key advantage of using electrons over photons in interferometry is the much shorter wavelength for the same energy.

Despite the various intricacies and subtleties of electron beam lithography at different energies, it remains the most practical way to concentrate the most energy into the smallest area.

See also

Photolithography

External links

References

  1. McCord, M. A. (2000). "2". SPIE Handbook of Microlithography, Micromachining and Microfabrication. नामालूम प्राचल |coauthors= की उपेक्षा की गयी (|author= सुझावित है) (मदद); |title= में बाहरी कड़ी (मदद)
  2. L. Feldman and J. Mayer (1986). "unknown title". Fundamentals of Surface and Thin Film Analysis. 54: 130–133.
  3. A. N. Broers; एवं अन्य (1996). "unknown title". Microelectronic Engineering. 32: 131–142. Explicit use of et al. in: |author= (मदद)
  4. H. Seiler (1983). "unknown title". J. Appl. Phys. 54: R1–R18.
  5. J. A. Liddle; एवं अन्य (2003). "unknown title" (PDF). Mat. Res. Soc. Symp. Proc. 739 (19): 19–30. Explicit use of et al. in: |author= (मदद)
  6. See Wikpedia article on extreme ultraviolet lithography as well as section in this article on New Frontiers in Electron Beam Lithography.
  7. H. Yang et al., Proceedings of the 1st IEEE Intl. Conf. on Nano/Micro Engineered and Molecular Systems, pp. 391-394 (2006).
  8. D. R. S. Cumming et al., Appl. Phys. Lett. 68, 322 (1996).
  9. C. R. K. Marrian; एवं अन्य (1992). "unknown title". J. Vac. Sci. Tech. 10 (B): 2877–2881. Explicit use of et al. in: |author= (मदद)
  10. T. M. Mayer; एवं अन्य (1996). "unknown title". J. Vac. Sci. Tech. 14 (B): 2438–2444. Explicit use of et al. in: |author= (मदद)
  11. L. S. Hordon; एवं अन्य (1993). "unknown title". J. Vac. Sci. Tech. 11 (B): 2299–2303. Explicit use of et al. in: |author= (मदद)
  12. R. F. Egerton; एवं अन्य (2004). "unknown title". Micron. 35: 399–409. Explicit use of et al. in: |author= (मदद)

साँचा:Nanolith hi:Electron beam lithography